VHDL语言为核心的EDA技术在医学中的应用

[09-12 18:32:00]   来源:http://www.88dzw.com  EDA/PLD   阅读:8152

文章摘要:在程序输入完成后,经Max+PlusⅡ中的Compiler编译通过后,可用Stimulator进行仿真,查看仿真结果,如图2所示。也可以加入七段显示译码器的VHDL设计来通过实验箱的七段数码管扫描显示计数结果仿真结果如图3所示。代码如下:最终实现的顶层文件原理图如图4所示。顶层文件设计如图4所示,通过该文件可以实现译码,下载到EDA实验箱时,便可于实验箱的数码管上读出相应的脉搏数,如图5所示。VHDL语言与医学内容的结合,除了应用于脉搏的测量,还可应用于心跳及呼吸等的测量,以及受人体对声、光刺激后的生理反应时间的测定等。电子自动化设计(EDA)及其相关技术,在医学领域具有巨大的应用前景,有待进

VHDL语言为核心的EDA技术在医学中的应用,标签:eda技术,eda技术实用教程,http://www.88dzw.com


  在程序输入完成后,经Max+PlusⅡ中的Compiler编译通过后,可用Stimulator进行仿真,查看仿真结果,如图2所示。


  也可以加入七段显示译码器的VHDL设计来通过实验箱的七段数码管扫描显示计数结果仿真结果如图3所示。


  代码如下:




  最终实现的顶层文件原理图如图4所示。


  顶层文件设计如图4所示,通过该文件可以实现译码,下载到EDA实验箱时,便可于实验箱的数码管上读出相应的脉搏数,如图5所示。


  VHDL语言与医学内容的结合,除了应用于脉搏的测量,还可应用于心跳及呼吸等的测量,以及受人体对声、光刺激后的生理反应时间的测定等。电子自动化设计(EDA)及其相关技术,在医学领域具有巨大的应用前景,有待进一步的研究和发掘。

  3 结语

  VHDL是一种随着电子技术的不断发展,为满足电路系统化和高度集成化要求而发展起来的一种新型硬件描述语言。VHDL具有广泛的应用范围,在芯片及电路系统设计等方面发挥着日益重要的作用。以VHDL为核心的EDA技术应用于医学,能直观准确的测量人体的脉搏心跳,呼吸等生理活动,以及受到外界刺激的生理反应等,在医学领域存在广阔的发展空间,随着进一步的探索和实践,必将对医学的发展起到极大的推动作用。(现代电子技术 作者:谈笑玲 段新文)


上一页  [1] [2] 


Tag:EDA/PLDeda技术,eda技术实用教程EDA/PLD
分类导航
最新更新
热门排行