TLC5510 VHDL控制程序

[11-20 16:14:46]   来源:http://www.88dzw.com  FPGA   阅读:8749

文章摘要:TLC5510 VHDL控制程序--文件名:.vhd--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制--最后修改日期:2004.3.20library ieee;use ieee.std_logic_1164.all;entity tlc5510 is port(clk :in std_logic; --系统时钟 oe :out std_logic; --TLC5510的输出使能/OE clk1:out std_logic;

TLC5510 VHDL控制程序,标签:fpga是什么,fpga教程,http://www.88dzw.com

TLC5510 VHDL控制程序
--文件名:.vhd
--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
entity tlc5510 is
port(clk :in std_logic;                              --系统时钟
     oe :out std_logic;                            --TLC5510的输出使能/OE
     clk1:out std_logic;                           --的转换时钟
     din:in std_logic_vector(7 downto 0);            --来自TLC5510的采样数据
     dout:out std_logic_vector(7 downto 0));          --FPGA数据输出
end tlc5510;
architecture behav of tlc5510 is
signal q:integer range 3 downto 0;
begin
process(clk)                --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟
begin
if clk'event and clk='1' then
   if q=3 then q<=0;
   else q<=q+1;
   end if;
end if;
if q>=2 then clk1<='1';                                      --对系统CLK进行4分频
else clk1<='0';
end if;
end process;
oe<='0';                                                       --输出使能赋低电平                                                         
dout<=din;                                                        --采样数据输出                 
end behav;


Tag:FPGAfpga是什么,fpga教程FPGA

《TLC5510 VHDL控制程序》相关文章

分类导航
最新更新
热门排行