出租车计价器VHDL程序与仿真

[11-20 16:14:52]   来源:http://www.88dzw.com  FPGA   阅读:8312

文章摘要:出租车计价器与仿真--文件名:taxi.hd。--功能:出租车计价器。--最后修改日期:2004.4.9。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity taxi isport ( clk_240 :in std_logic; --频率为240Hz的时钟 start :in std_logic;

出租车计价器VHDL程序与仿真,标签:fpga是什么,fpga教程,http://www.88dzw.com

出租车计价器与仿真

--文件名:taxi.hd。
--功能:出租车计价器。
--最后修改日期:2004.4.9。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity taxi is
port ( clk_240  :in std_logic;                           --频率为240Hz的时钟                        
       start :in std_logic;                               --计价使能信号
       stop:in std_logic;                                --等待信号
       fin:in std_logic;                                 --公里脉冲信号
       cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); --费用数据
       km1,km0:out std_logic_vector(3 downto 0);          --公里数据           
       min1,min0: out std_logic_vector(3 downto 0));       --等待时间  
end taxi;
architecture behav of taxi is
signal f_15,f_16,f_1:std_logic;                         --频率为15Hz,16Hz,1Hz的信号
signal q_15:integer range 0 to 15;                       --分频器
signal q_16:integer range 0 to 14;                       --分频器
signal q_1:integer range 0 to 239;                       --分频器
signal w:integer range 0 to 59;                         --秒计数器
signal c3,c2,c1,c0:std_logic_vector(3 downto 0);          --制费用计数器
signal k1,k0:std_logic_vector(3 downto 0);               --公里计数器
signal m1:std_logic_vector(2 downto 0);                 --分的十位计数器
signal m0:std_logic_vector(3 downto 0);                 --分的个位计数器

[1] [2] [3] [4]  下一页


Tag:FPGAfpga是什么,fpga教程FPGA

《出租车计价器VHDL程序与仿真》相关文章

分类导航
最新更新
热门排行